-- SPDX-License-Identifier: Apache-2.0 -- -- Copyright (c) 2016 onox -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. with Ada.Unchecked_Conversion; with Orka.SIMD.SSE.Singles.Arithmetic; with Orka.SIMD.SSE.Singles.Compare; with Orka.SIMD.SSE2.Integers; with Orka.SIMD.SSE4_1.Integers.Logical; package body Orka.SIMD.SSE4_1.Singles.Compare is use SIMD.SSE.Singles.Arithmetic; use SIMD.SSE.Singles.Compare; use SIMD.SSE2.Integers; use SIMD.SSE4_1.Integers.Logical; function Is_Equal (Left, Right : m128) return Boolean is Epsilon : constant := Float_32'Model_Epsilon; function Convert is new Ada.Unchecked_Conversion (m128, m128i); Result : constant m128 := abs (Left - Right) <= (Epsilon, Epsilon, Epsilon, Epsilon); begin return Test_All_Ones (Convert (Result), Convert (Result = Result)); end Is_Equal; end Orka.SIMD.SSE4_1.Singles.Compare;